Log In  

I like how I can indent/dedent a block selection with tab/shift-tab, and I like the behavior where it operates on every line with at least one character selected. I notice an edge case that should be addressed: if the first line is indented and I have the intend spaces selected, when I dedent the space goes away but my selection start moves up to the previous line. If I dedent further now the previous line is getting included in the dedent.

P#76273 2020-05-09 21:46 ( Edited 2020-05-09 21:46)

1

It also does no dedent if there is no selection (ie, cursor is on a line but nothing is selected) - but it DOES indent...

P#79736 2020-07-21 21:23

[Please log in to post a comment]